Browse

Author

Jump to a point in the index
Or type in a year
  • Sort by
  • In order
  • Results/Page
  • Authors/record

Showing results 1 to 15 of 15

Issue DateTitle / Author(s) / CitationFileAltmetrics
1998

Shin, Youngsoo; Chae, Soo-Ik; Choi, Kiyoung

International Symposium on Low Power Electronics and Design 1998, August 10-12, pp.127-132

1998-04

Shin, Young Soo; Chae, Soo-Ik; Choi, Kiyoung

Electronics Letters- IEE, 1998, 34, (7), pp.642-643

2001

Shin, Young Soo; Choi, Kiyoung; Chae, Soo-Ik

IEEE Trans. VLSI Syst., vol. 9, pp.377-383

view fileDOI
2002-03

Ahn, Yongjin; Kim, Dae Hong; Lee, Sunghyun; Park, Sanggyu; Yoo, Sung Joo; Choi, Kiyoung; Chae, Soo-Ik

Design Automation for Embedded Systems, v.8, no. 2-3, pp. 119-138

DOI
2003

Ahn, Yongjin; Kim, Dae Hong; Lee, Sunghyun; Park, Sanggyu; Yoo, Sung Joo; Choi, Kiyoung; Chae, Soo-Ik

Design Automation for Embedded Systems, vol. 8, pp. 119-138

DOI
2016-04

Kim, Kyounghoon; Lin, Helin; Choi, Jin Young; Choi, Kiyoung

Pattern Recognition, Vol.52, pp. 1-16

DOI
2017-06DOI
2019-01

Park, Hanmin; Choi, Kiyoung

24TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2019), pp.286-291

DOI
2019-01

Yu, Joonsang; Kang, Sungbum; Choi, Kiyoung

THIRTY-THIRD AAAI CONFERENCE ON ARTIFICIAL INTELLIGENCE / THIRTY-FIRST INNOVATIVE APPLICATIONS OF ARTIFICIAL INTELLIGENCE CONFERENCE / NINTH AAAI SYMPOSIUM ON EDUCATIONAL ADVANCES IN ARTIFICIAL INTELLIGENCE, pp.5701-5708

2019-05

Kim, Jongho; Kim, Heesu; Amrouch, Hussam; Henkel, Joerg; Gerstlauer, Andreas; Choi, Kiyoung

2019 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), p. 8702120

DOI
2019-06

Lee, Gunhee; Park, Hanmin; Kim, Namhyung; Yu, Joonsang; Jo, Sujeong; Choi, Kiyoung

PROCEEDINGS OF THE 2019 56TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC), pp.1-16

DOI
2019-07

Kim, Jaehyun; Lee, Chaeun; Kim, Jihun; Kim, Yumin; Hwang, Cheol Seong; Choi, Kiyoung

2019 IEEE/ACM INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN (ISLPED), p. 8824902

DOI
2019-10

Lee, Chaeun; Kim, Jaehyun; Kim, Jihun; Hwang, Cheol Seong; Choi, Kiyoung

2019 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC), pp.293-294

DOI
2019-10

Lee, Chaeun; Kim, Jaehyun; Choi, Kiyoung

2019 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC), pp.259-260

DOI
2022-07

Kim, Namhyung; Park, Hanmin; Lee, Dongwoo; Kang, Sungbum; Lee, Jinho; Choi, Kiyoung

IEEE Transactions on Computers, Vol.71 No.7, pp.1537-1550

DOI
1