Publications

Detailed Information

Compiler-Based Performance Evaluation of an SIMD Processor with a Multi-Bank Memory Unit

DC Field Value Language
dc.contributor.authorChang, Hoseok-
dc.contributor.authorCho, Junho-
dc.contributor.authorSung, Wonyong-
dc.date.accessioned2009-08-05T04:32:43Z-
dc.date.available2009-08-05T04:32:43Z-
dc.date.issued2009-06-03-
dc.identifier.citationJ Sign Process Syst, 56(2-3), 249-260, Sep.en
dc.identifier.issn1939-8018 (print)-
dc.identifier.issn1939-8115 (online)-
dc.identifier.urihttps://hdl.handle.net/10371/6159-
dc.description.abstractThe single instruction multiple data (SIMD) architecture is very efficient for executing arithmetic intensive programs, but frequently suffers from data-alignment problems. The data-alignment problem not only induces extra time overhead but also hinders automatic vectorization of the SIMD compiler. In this paper, we compare three on-chip memory systems, which are single-bank, multi-bank, and multi-port, for the SIMD architecture to resolve the data-alignment problems. The single-bank memory is the simplest, but supports only the aligned accesses. The multi-bank memory requires a little higher complexity, but enables the unaligned accesses and the stride accesses with a bank-conflict limitation. The multi-port memory is capable of both the unaligned and stride accesses without any restriction, but needs quite much expensive hardware. We also developed a vectorizing compiler that can conduct dynamic memory allocation and SIMD code generation. The performances of the three memory systems with our SIMD compiler are evaluated using several digital signal processing kernels and the MPEG2 encoder. The experimental results show that the multi-bank memory can carry out MPEG2 encoding 5.8 times faster, whereas the single-bank memory only achieves 2.9 times speed-up when employed in a multimedia system with a 2-issue host processor and an 8-way SIMD coprocessor. The multi-port memory obviously shows the best performance, which is however an impractical improvement over the multi-bank memory when the hardware cost is considered.en
dc.language.isoen-
dc.publisherSpringer Verlagen
dc.subjectSIMD processoren
dc.subjectOn-chip memoryen
dc.subjectMulti-bank memoryen
dc.subjectVectorizing compileren
dc.subjectData allocationen
dc.titleCompiler-Based Performance Evaluation of an SIMD Processor with a Multi-Bank Memory Uniten
dc.typeArticleen
dc.contributor.AlternativeAuthor장호석-
dc.contributor.AlternativeAuthor조준호-
dc.contributor.AlternativeAuthor성원용-
dc.identifier.doi10.1007/s11265-008-0229-z-
Appears in Collections:
Files in This Item:
There are no files associated with this item.

Altmetrics

Item View & Download Count

  • mendeley

Items in S-Space are protected by copyright, with all rights reserved, unless otherwise indicated.

Share