Publications

Detailed Information

Typed architectures: Architectural support for lightweight scripting

Cited 3 time in Web of Science Cited 7 time in Scopus
Authors

Kim, C.; Kim, J.; Kim, S.; Kim, D.; Kim, N.; Na, G.; Oh, Y.H.; Cho, H.G.; Lee, J.W.

Issue Date
2017-04
Publisher
Association for Computing Machinery
Citation
International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS, Vol.Part F127193, pp.77-90
Abstract
Dynamic scripting languages are becoming more and more widely adopted not only for fast prototyping but also for developing production-grade applications. They provide highproductivity programming environments featuring high levels of abstraction with powerful built-in functions, automatic memory management, object-oriented programming paradigm and dynamic typing. However, their flexible, dynamic type systems easily become the source of inefficiency in terms of instruction count, memory footprint, and energy consumption. This overhead makes it challenging to deploy these high-productivity programming technologies on emerging single-board computers for IoT applications. Addressing this challenge, this paper introduces Typed Architectures, a high-efficiency, low-cost execution substrate for dynamic scripting languages, where each data variable retains high-level type information at an ISA level. Typed Architectures calculate and check the dynamic type of each variable implicitly in hardware, rather than explicitly in software, hence significantly reducing instruction count for dynamic type checking. Besides, Typed Architectures introduce polymorphic instructions (e.g., xadd), which are bound to the correct native instruction at runtime within the pipeline (e.g., add or fadd) to efficiently implement polymorphic operators. Finally, Typed Architectures provide hardware support for flexible yet efficient type tag extraction and insertion, capturing common data layout patterns of tagvalue pairs. Our evaluation using a fully synthesizable RISCV RTL design on FPGA shows that Typed Architectures achieve geomean speedups of 11.2% and 9.9% with maximum speedups of 32.6% and 43.5% for two productiongrade scripting engines for JavaScript and Lua, respectively. Moreover, Typed Architectures improve the energy-delay product (EDP) by 19.3% for JavaScript and 16.5% for Lua with an area overhead of 1.6% at a 40nm technology node. © 2017 ACM.
URI
https://hdl.handle.net/10371/192903
DOI
https://doi.org/10.1145/3037697.3037726
Files in This Item:
There are no files associated with this item.
Appears in Collections:

Altmetrics

Item View & Download Count

  • mendeley

Items in S-Space are protected by copyright, with all rights reserved, unless otherwise indicated.

Share