Publications

Detailed Information

TEI-NoC: Optimizing Ultralow Power NoCs Exploiting the Temperature Effect Inversion

DC Field Value Language
dc.contributor.authorHan, Kyuseung-
dc.contributor.authorLee, Jae-Jin-
dc.contributor.authorLee, Jinho-
dc.contributor.authorLee, Woojoo-
dc.contributor.authorPedram, Massoud-
dc.date.accessioned2024-05-02T06:03:24Z-
dc.date.available2024-05-02T06:03:24Z-
dc.date.created2024-04-23-
dc.date.created2024-04-23-
dc.date.issued2018-02-
dc.identifier.citationIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, Vol.37 No.2, pp.458-471-
dc.identifier.issn0278-0070-
dc.identifier.urihttps://hdl.handle.net/10371/200583-
dc.description.abstractThe era of the Internet of Things (IoT) is upon us. In this era, minimizing power consumption becomes a primary concern of system-on-chip designers. Ultralow power (ULP) very large-scale integration circuits have been receiving considerable interest from both academia and industry as the best-suited techniques for IoT devices, which can take full advantage of power-saving that voltage scaling potentially achieves. Consequently, research on ULP designs has begun to yield tangible outcomes, namely ULP circuits. However, little attention has been paid to ULP network-on-chip (NoC), although the NoC is an essential of the ULP chips, and its power consumption accounts for a significant portion of the total power. This paper focuses on ULP NoCs, and presents a new power management method that exploits delay versus temperature characteristics of ULP circuits. Recent studies on ULP circuits show that delay versus temperature characteristics are fundamentally different from normal circuits, i.e., the delay of the ULP circuits implemented in state-of-the-art bulk CMOS operating at low supply voltages or in FinFET technologies decreases with increasing temperature, a phenomenon known as the temperature effect inversion (TEI). Starting with an intuition that at a certain temperature point, power savings without performance penalty can be achieved by increasing the router frequency to create the opportunity to turn off some routers in ULP NoCs, or by decreasing the NoC supply voltage level, an optimization method is presented to maximize the power savings with minor performance penalty. To validate the proposed method, a concrete ULP NoC simulator, TEI-Noxim, has been developed. Experimental results demonstrate that TEI-aware NoC achieves an average of 36.0% power reduction over 21 applications.-
dc.language영어-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.titleTEI-NoC: Optimizing Ultralow Power NoCs Exploiting the Temperature Effect Inversion-
dc.typeArticle-
dc.identifier.doi10.1109/TCAD.2017.2693269-
dc.citation.journaltitleIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS-
dc.identifier.wosid000422948500015-
dc.identifier.scopusid2-s2.0-85040986865-
dc.citation.endpage471-
dc.citation.number2-
dc.citation.startpage458-
dc.citation.volume37-
dc.description.isOpenAccessY-
dc.contributor.affiliatedAuthorLee, Jinho-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.subject.keywordPlusNETWORKS-
dc.subject.keywordPlusMANAGEMENT-
dc.subject.keywordPlusEFFICIENT-
dc.subject.keywordAuthorNetwork-on-chip (NoC)-
dc.subject.keywordAuthorsystem-on-chip (SoC)-
dc.subject.keywordAuthortemperature effect inversion (TEI)-
dc.subject.keywordAuthorultralow power (ULP) design-
Appears in Collections:
Files in This Item:
There are no files associated with this item.

Related Researcher

  • College of Engineering
  • Department of Electrical and Computer Engineering
Research Area AI Accelerators, Distributed Deep Learning, Neural Architecture Search

Altmetrics

Item View & Download Count

  • mendeley

Items in S-Space are protected by copyright, with all rights reserved, unless otherwise indicated.

Share