Publications

Detailed Information

Enforcing Last-Level Cache Partitioning through Memory Virtual Channels

DC Field Value Language
dc.contributor.authorChung, Jongwook-
dc.contributor.authorRo, Yuhwan-
dc.contributor.authorKim, Joonsung-
dc.contributor.authorAhn, Jaehyung-
dc.contributor.authorKim, Jangwoo-
dc.contributor.authorKim, John-
dc.contributor.authorLee, Jae Wook-
dc.contributor.authorAhn, Jung Ho-
dc.date.accessioned2022-10-17T03:51:38Z-
dc.date.available2022-10-17T03:51:38Z-
dc.date.created2022-06-08-
dc.date.issued2019-09-
dc.identifier.citationParallel Architectures and Compilation Techniques - Conference Proceedings, PACT, Vol.2019-September, pp.97-109-
dc.identifier.issn1089-795X-
dc.identifier.urihttps://hdl.handle.net/10371/186094-
dc.description.abstract© 2019 IEEE.Ensuring fairness or providing isolation between multiple workloads with different characteristics that are colocated on a single, shared-memory system is a challenge. Recent multicore processors provide last-level cache (LLC) hardware partitioning to provide hardware support for isolation, with the cache partitioning often specified by the user. While more LLC capacity often results in higher performance, in this work we identify that a workload allocated more LLC capacity result in worse performance on real-machine experiments, which we refer to as MiW (more is worse). Through various controlled experiments, we identify that another workload with less LLC capacity causes more frequent LLC misses. The workload stresses the main-memory system shared by both workloads and degrades the performance of the former workload even if the LLC partitioning is used (a balloon effect). To resolve this problem, we propose virtualizing the datapath of main-memory controllers and dedicating the memory virtual channels (mVCs) to each group of applications, grouped for LLC partitioning. mVC can further fine-Tune the performance of groups by differentiating buffer sizes among mVCs. It can reduce the total system cost by executing latency-critical and throughput-oriented workloads together on shared machines, of which performance criteria can be achieved only on dedicated machines if mVCs are not supported. Experiments on a simulated chip multiprocessor show that our proposals effectively eliminate the MiW phenomenon, hence providing additional opportunities for workload consolidation in a datacenter. Our case study demonstrates potential savings of machine count by 21.8% with mVC, which would otherwise violate a service level objective (SLO).-
dc.language영어-
dc.publisherIEEE-
dc.titleEnforcing Last-Level Cache Partitioning through Memory Virtual Channels-
dc.typeArticle-
dc.identifier.doi10.1109/PACT.2019.00016-
dc.citation.journaltitleParallel Architectures and Compilation Techniques - Conference Proceedings, PACT-
dc.identifier.wosid000550990200008-
dc.identifier.scopusid2-s2.0-85075446495-
dc.citation.endpage109-
dc.citation.startpage97-
dc.citation.volume2019-September-
dc.description.isOpenAccessN-
dc.contributor.affiliatedAuthorLee, Jae Wook-
dc.type.docTypeConference Paper-
dc.description.journalClass1-
Appears in Collections:
Files in This Item:
There are no files associated with this item.

Altmetrics

Item View & Download Count

  • mendeley

Items in S-Space are protected by copyright, with all rights reserved, unless otherwise indicated.

Share