Publications

Detailed Information

TQSIM: A fast cycle-approximate processor simulator based on QEMU

Cited 12 time in Web of Science Cited 17 time in Scopus
Authors

Kang, Shin-haeng; Yoo, Donghoon; Ha, Soonhoi

Issue Date
2016-05
Publisher
Elsevier BV
Citation
Journal of Systems Architecture, Vol.66-67, pp.33-47
Abstract
Timing simulation of a processor is a key enabling technique to explore the design space of system architecture or to develop the software without an available hardware. We propose a fast cycle-approximate simulation technique for modern superscalar out-of-order processors. The proposed simulation technique is designed in two parts; the front-end provides correct functional execution of the guest application, and the back-end provides a timing model. For the back-end, we developed a novel processor timing model that combines a simple-formula-based analytical model and a scheduling analysis of sampled traces so as to boost up the simulation speed with minimal accuracy loss. Attached with a cache simulator, a branch predictor, and a trace analyzer, the proposed technique is implemented over the popular and portable QEMU emulator, so named TQSIM (Timed QEMU-based SIMulator). Sacrificing around 8 percent of the accuracy, TQSIM enables one or two orders of magnitude faster simulation than a reference cycle-accurate simulation when the target architecture is an ARM Cortex A15 processor. TQSIM is an open-source project currently available online. (C) 2016 Published by Elsevier B.V.
ISSN
1383-7621
URI
https://hdl.handle.net/10371/198463
DOI
https://doi.org/10.1016/j.sysarc.2016.04.012
Files in This Item:
There are no files associated with this item.
Appears in Collections:

Altmetrics

Item View & Download Count

  • mendeley

Items in S-Space are protected by copyright, with all rights reserved, unless otherwise indicated.

Share