Publications

Detailed Information

Minimum Implant Area-Aware Threshold Voltage Refinement in Pre-Placement

Cited 0 time in Web of Science Cited 0 time in Scopus
Authors

Jeong, Eunsol; Park, Heechun; Jeong, Jooyeon; Kim, Taewhan

Issue Date
2021
Publisher
IEEE
Citation
2021 IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS), pp.232-235
Abstract
Using multiple threshold voltages (Multi-V-t) is widely adopted due to its effectiveness to optimize the balance between power and timing In the meantime, as the technology node shrinks, the minimum implant area (MIA) constraint has become an emerging challenge in multi-V-t circuit designs. In this paper, we propose an MIA-aware V-t refinement algorithm to be performed in a way to reduce the number of occurrences of MIA violations. Our key idea is to update the V-t of small size cells that are likely to incur MIA violations in advance before cell placement, thereby relieving the burden of fixing MIA violations during the placement stage that performs the operations of moving/swapping cells or trading circuit timing with V-t change. Through experiments with benchmark circuits, it is shown that our proposed method is able to reduce the number of MIA violations by up to 16% with no timing penalty.
ISSN
1548-3746
URI
https://hdl.handle.net/10371/186072
DOI
https://doi.org/10.1109/MWSCAS47672.2021.9531756
Files in This Item:
There are no files associated with this item.
Appears in Collections:

Altmetrics

Item View & Download Count

  • mendeley

Items in S-Space are protected by copyright, with all rights reserved, unless otherwise indicated.

Share