Publications

Detailed Information

3D network-on-chip with wireless links through inductive coupling

Cited 0 time in Web of Science Cited 12 time in Scopus
Authors

Lee, J.; Zhu, M.; Choi, K.; Ahn, J.H.; Sharma, R.

Issue Date
2011
Publisher
ISOCC
Citation
2011 International SoC Design Conference, ISOCC 2011, pp.353-356
Abstract
Utilizing network-on-chips for manycore SoCs has already been studied widely, as traditional bus-based architectures are unlikely to endure so many inter-core communications. Since device scaling has come to a limit, the technology trend now is stacking dies three-dimensionally to obtain more silicon area and shorter wire length. The most challenging part for making a 3D chip is inter-layer communication method. Currently, TSV is the most popular and promising technique to provide the best performance. However, it suffers from many problems due to inter-layer wiring. As a substitute, inductive coupling can be used as a reliable and non-expensive technology. In this work, we use inductive coupling for the inter-layer communication to build a 3D NoC. We also propose a token bus protocol for an efficient implementation of multi-layer communications. Experimental results show that the proposed architecture achieves maximum throughput of 4.7 flits/cycle under uniform random traffic. ©2011 IEEE.
ISSN
0000-0000
URI
https://hdl.handle.net/10371/200698
Files in This Item:
There are no files associated with this item.
Appears in Collections:

Related Researcher

  • College of Engineering
  • Department of Electrical and Computer Engineering
Research Area AI Accelerators, Distributed Deep Learning, Neural Architecture Search

Altmetrics

Item View & Download Count

  • mendeley

Items in S-Space are protected by copyright, with all rights reserved, unless otherwise indicated.

Share